Sensors, Vol. 21, Pages 5081: Convolver Design and Convolve-Accumulate Unit Design for Low-Power Edge Computing

Sensors, Vol. 21, Pages 5081: Convolver Design and Convolve-Accumulate Unit Design for Low-Power Edge Computing Sensors doi: 10.3390/s21155081 Authors: Hsu-Yu Kao Xin-Jia Chen Shih-Hsu Huang Convolution operations have a significant influence on the overall performance of a convolutional neural network, especially in edge-computing hardware design. In this paper, we propose a low-power signed convolver hardware architecture that is well suited for low-power edge computing. The basic idea of the proposed convolver design is to combine all multipliers’ final additions and their corresponding adder tree to form a partial product matrix (PPM) and then to use the reduction tree algorithm to reduce this PPM. As a result, compared with the state-of-the-art approach, our convolver design not only saves a lot of carry propagation adders but also saves one clock cycle per convolution operation. Moreover, the proposed convolver design can be adapted for different dataflows (including input stationary dataflow, weight stationary dataflow, and output stationary dataflow). According to dataflows, two types of convolve-accumulate units are proposed to perform the accumulation of convolution results. The results show that, compared with the state-of-the-art approach, the proposed convolver design can save 15.6% power consumption. Furthermore, compared with the state-of-the-art approach, on average, the proposed convolve-accumulate units can reduce 15.7% power consumption.
Source: Sensors - Category: Biotechnology Authors: Tags: Article Source Type: research
More News: Biotechnology