Sensors, Vol. 20, Pages 5255: Voltage-Frequency Domain Optimization for Energy-Neutral Wearable Health Devices

Sensors, Vol. 20, Pages 5255: Voltage-Frequency Domain Optimization for Energy-Neutral Wearable Health Devices Sensors doi: 10.3390/s20185255 Authors: Yigit Tuncel Sizhe An Ganapati Bhat Naga Raja Hyung Gyu Lee Umit Ogras Wearable health and activity monitoring devices must minimize the battery charging and replacement requirements to be practical. Numerous design techniques, such as power gating and multiple voltage-frequency (VF) domains, can be used to optimize power consumption. However, circuit-level techniques alone cannot minimize energy consumption unless they exploit domain-specific knowledge. To this end, we propose a system-level framework that minimizes the energy consumption of wearable health and activity monitoring applications by combining domain-specific knowledge with low-power design techniques. The proposed technique finds the energy-optimal VF domain partitioning and the corresponding VF assignments to each partition. We evaluate this framework with experiments on two activity monitoring and one electrocardiogram applications. Our approach decreases the energy consumption by 33–58% when compared to baseline designs. It also achieves 20–46% more savings compared to a state-of-the-art approach.
Source: Sensors - Category: Biotechnology Authors: Tags: Letter Source Type: research